Skip to main content

Lund University Publications

LUND UNIVERSITY LIBRARIES

Measurement Point Selection for In-Operation Wear-Out Monitoring

Ingelsson, Urban ; Chang, Shih-Yen and Larsson, Erik LU orcid (2011) 14th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS11)
Abstract
In recent IC designs, the risk of early failure due to electromigration wear-out has increased due to reduced feature dimensions. To give a warning of impending failure, wearout monitoring approaches have included delay measurement circuitry on-chip. Due to the high cost of delay measurement circuitry this paper presents a method to reduce the number of necessary measurement points. The proposed method is based on identification of wear-out sensitive interconnects and selects a small number of measurement points that can be used to observe the state of all the wear-out sensitive interconnects. The method is demonstrated on ISCAS85 benchmark ICs with encouraging results.
Please use this url to cite or link to this publication:
author
; and
publishing date
type
Chapter in Book/Report/Conference proceeding
publication status
published
subject
host publication
14th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS11)
conference name
14th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS11)
conference dates
2011-04-13 - 2011-04-15
external identifiers
  • scopus:79959975113
ISBN
978-1-4244-9755-3
DOI
10.1109/DDECS.2011.5783115
language
English
LU publication?
no
id
75060357-3d78-4e74-bef2-a49cef032e15 (old id 2340790)
date added to LUP
2016-04-04 13:24:30
date last changed
2022-01-30 02:38:59
@inproceedings{75060357-3d78-4e74-bef2-a49cef032e15,
  abstract     = {{In recent IC designs, the risk of early failure due to electromigration wear-out has increased due to reduced feature dimensions. To give a warning of impending failure, wearout monitoring approaches have included delay measurement circuitry on-chip. Due to the high cost of delay measurement circuitry this paper presents a method to reduce the number of necessary measurement points. The proposed method is based on identification of wear-out sensitive interconnects and selects a small number of measurement points that can be used to observe the state of all the wear-out sensitive interconnects. The method is demonstrated on ISCAS85 benchmark ICs with encouraging results.}},
  author       = {{Ingelsson, Urban and Chang, Shih-Yen and Larsson, Erik}},
  booktitle    = {{14th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS11)}},
  isbn         = {{978-1-4244-9755-3}},
  language     = {{eng}},
  title        = {{Measurement Point Selection for In-Operation Wear-Out Monitoring}},
  url          = {{http://dx.doi.org/10.1109/DDECS.2011.5783115}},
  doi          = {{10.1109/DDECS.2011.5783115}},
  year         = {{2011}},
}