Skip to main content

Lund University Publications

LUND UNIVERSITY LIBRARIES

Multicarrier faster-than-Nyquist transceivers: hardware architecture and performance analysis

Dasalukunte, Deepak LU ; Rusek, Fredrik LU and Öwall, Viktor LU (2011) In IEEE Transactions on Circuits and Systems Part 1: Regular Papers 58(4). p.827-838
Abstract
This paper evaluates the hardware aspects of multicarrier faster-than-Nyquist (FTN) signaling transceivers. The choice of time-frequency spacing of the symbols in an FTN system for improved bandwidth efficiency is targeted towards efficient hardware implementation. This work proposes a hardware architecture for the realization of iterative decoding of FTN multicarrier modulated signals. Compatibility with existing systems

has been considered for smooth switching between the faster-than-Nyquist and orthogonal signaling schemes. One such being the use of FFTs for multicarrier modulation. The performance of the fixed point model is very close to that of the floating point representation. The impact of system parameters such as number... (More)
This paper evaluates the hardware aspects of multicarrier faster-than-Nyquist (FTN) signaling transceivers. The choice of time-frequency spacing of the symbols in an FTN system for improved bandwidth efficiency is targeted towards efficient hardware implementation. This work proposes a hardware architecture for the realization of iterative decoding of FTN multicarrier modulated signals. Compatibility with existing systems

has been considered for smooth switching between the faster-than-Nyquist and orthogonal signaling schemes. One such being the use of FFTs for multicarrier modulation. The performance of the fixed point model is very close to that of the floating point representation. The impact of system parameters such as number of projection points, time-frequency spacing, finite wordlengths and their design trade-offs for reduced complexity

iterative decoders in FTN systems have been investigated. The FTN decoder has been designed and synthesized in both 65nm CMOS and FPGA. From the hardware resource usage numbers it can be concluded that FTN signaling can be used to achieve higher bandwidth efficiency with acceptable complexity overhead. (Less)
Please use this url to cite or link to this publication:
author
; and
organization
publishing date
type
Contribution to journal
publication status
published
subject
in
IEEE Transactions on Circuits and Systems Part 1: Regular Papers
volume
58
issue
4
pages
827 - 838
publisher
IEEE - Institute of Electrical and Electronics Engineers Inc.
external identifiers
  • wos:000289159700017
  • scopus:79953291790
ISSN
1549-8328
DOI
10.1109/TCSI.2010.2089549
project
EIT_HSWC:Coding Coding, modulation, security and their implementation
language
English
LU publication?
yes
id
86a801b1-356d-4585-aa32-b17408a97be1 (old id 1699314)
alternative location
http://ieeexplore.ieee.org/search/srchabstract.jsp?tp=&arnumber=5645721
date added to LUP
2016-04-01 10:51:54
date last changed
2022-05-06 02:11:42
@article{86a801b1-356d-4585-aa32-b17408a97be1,
  abstract     = {{This paper evaluates the hardware aspects of multicarrier faster-than-Nyquist (FTN) signaling transceivers. The choice of time-frequency spacing of the symbols in an FTN system for improved bandwidth efficiency is targeted towards efficient hardware implementation. This work proposes a hardware architecture for the realization of iterative decoding of FTN multicarrier modulated signals. Compatibility with existing systems<br/><br>
has been considered for smooth switching between the faster-than-Nyquist and orthogonal signaling schemes. One such being the use of FFTs for multicarrier modulation. The performance of the fixed point model is very close to that of the floating point representation. The impact of system parameters such as number of projection points, time-frequency spacing, finite wordlengths and their design trade-offs for reduced complexity<br/><br>
iterative decoders in FTN systems have been investigated. The FTN decoder has been designed and synthesized in both 65nm CMOS and FPGA. From the hardware resource usage numbers it can be concluded that FTN signaling can be used to achieve higher bandwidth efficiency with acceptable complexity overhead.}},
  author       = {{Dasalukunte, Deepak and Rusek, Fredrik and Öwall, Viktor}},
  issn         = {{1549-8328}},
  language     = {{eng}},
  number       = {{4}},
  pages        = {{827--838}},
  publisher    = {{IEEE - Institute of Electrical and Electronics Engineers Inc.}},
  series       = {{IEEE Transactions on Circuits and Systems Part 1: Regular Papers}},
  title        = {{Multicarrier faster-than-Nyquist transceivers: hardware architecture and performance analysis}},
  url          = {{http://dx.doi.org/10.1109/TCSI.2010.2089549}},
  doi          = {{10.1109/TCSI.2010.2089549}},
  volume       = {{58}},
  year         = {{2011}},
}