Skip to main content

Lund University Publications

LUND UNIVERSITY LIBRARIES

Minimum-energy sub-threshold self-timed circuits: design methodology and a case study

Akgun, OmerCan LU ; Sparsø, Jens and Rodrigues, Joachim LU (2010) The 16th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC)
Abstract
This paper addresses the design of self-timed energy minimum circuits, operating in the sub-VT domain. The paper presents a generic implementation template using bundled-data circuitry and current sensing completion detection. To support this, a fully-decoupled latch controller has been developed, which integrates the current sensing circuitry. The paper outlines a corresponding design flow, which is based on contemporary synchronous EDA tools, and which transforms a synchronous design, into a corresponding self-timed circuit. The design flow and the current-sensing technique is validated by the implementation of an asynchronous version of a wavelet based event detector for cardiac pacemaker applications in a standard 65nm CMOS process.... (More)
This paper addresses the design of self-timed energy minimum circuits, operating in the sub-VT domain. The paper presents a generic implementation template using bundled-data circuitry and current sensing completion detection. To support this, a fully-decoupled latch controller has been developed, which integrates the current sensing circuitry. The paper outlines a corresponding design flow, which is based on contemporary synchronous EDA tools, and which transforms a synchronous design, into a corresponding self-timed circuit. The design flow and the current-sensing technique is validated by the implementation of an asynchronous version of a wavelet based event detector for cardiac pacemaker applications in a standard 65nm CMOS process. The chip has been fabricated and the area overhead due to power domain separation and completion detection circuitry

is 13.6 %. The improvement in throughput due to asynchronous operation is 52.58 %. By trading the throughput improvement, energy dissipation is reduced by 16.8% at the energy-minimum supply voltage. (Less)
Please use this url to cite or link to this publication:
author
; and
organization
publishing date
type
Chapter in Book/Report/Conference proceeding
publication status
published
subject
host publication
2010 IEEE Symposium on Asynchronous Circuits and Systems
pages
10 pages
publisher
IEEE - Institute of Electrical and Electronics Engineers Inc.
conference name
The 16th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC)
conference location
Grenoble, France
conference dates
2010-05-03 - 2010-05-06
external identifiers
  • scopus:77954477085
ISBN
978-1-4244-6859-1
978-1-4244-6860-7
DOI
10.1109/ASYNC.2010.17
project
Digital ASIC: Implementation of Signal Processing Algorithms for Pacemakers
language
English
LU publication?
yes
id
984a2ae0-d36c-4fc1-8460-31efd403bd0a (old id 1544268)
date added to LUP
2016-04-04 11:21:02
date last changed
2024-03-16 20:01:24
@inproceedings{984a2ae0-d36c-4fc1-8460-31efd403bd0a,
  abstract     = {{This paper addresses the design of self-timed energy minimum circuits, operating in the sub-VT domain. The paper presents a generic implementation template using bundled-data circuitry and current sensing completion detection. To support this, a fully-decoupled latch controller has been developed, which integrates the current sensing circuitry. The paper outlines a corresponding design flow, which is based on contemporary synchronous EDA tools, and which transforms a synchronous design, into a corresponding self-timed circuit. The design flow and the current-sensing technique is validated by the implementation of an asynchronous version of a wavelet based event detector for cardiac pacemaker applications in a standard 65nm CMOS process. The chip has been fabricated and the area overhead due to power domain separation and completion detection circuitry<br/><br>
is 13.6 %. The improvement in throughput due to asynchronous operation is 52.58 %. By trading the throughput improvement, energy dissipation is reduced by 16.8% at the energy-minimum supply voltage.}},
  author       = {{Akgun, OmerCan and Sparsø, Jens and Rodrigues, Joachim}},
  booktitle    = {{2010 IEEE Symposium on Asynchronous Circuits and Systems}},
  isbn         = {{978-1-4244-6859-1}},
  language     = {{eng}},
  publisher    = {{IEEE - Institute of Electrical and Electronics Engineers Inc.}},
  title        = {{Minimum-energy sub-threshold self-timed circuits: design methodology and a case study}},
  url          = {{https://lup.lub.lu.se/search/files/5753052/1648330.pdf}},
  doi          = {{10.1109/ASYNC.2010.17}},
  year         = {{2010}},
}