Skip to main content

Lund University Publications

LUND UNIVERSITY LIBRARIES

Poly(styrene)- block-Maltoheptaose Films for Sub-10 nm Pattern Transfer : Implications for Transistor Fabrication

Löfstrand, Anette LU ; Jafari Jam, Reza LU ; Mothander, Karolina LU ; Nylander, Tommy LU ; Mumtaz, Muhammad ; Vorobiev, Alexei ; Chen, Wen Chang ; Borsali, Redouane and Maximov, Ivan LU (2021) In ACS Applied Nano Materials 4(5). p.5141-5151
Abstract

Sequential infiltration synthesis (SIS) into poly(styrene)-block-maltoheptaose (PS-b-MH) block copolymer using vapors of trimethyl aluminum and water was used to prepare nanostructured surface layers. Prior to the infiltration, the PS-b-MH had been self-assembled into 12 nm pattern periodicity. Scanning electron microscopy indicated that horizontal alumina-like cylinders of 4.9 nm diameter were formed after eight infiltration cycles, while vertical cylinders were 1.3 nm larger. Using homopolymer hydroxyl-terminated poly(styrene) (PS-OH) and MH films, specular neutron reflectometry revealed a preferential reaction of precursors in the MH compared to PS-OH. The infiltration depth into the maltoheptaose homopolymer film was found to be 2.0... (More)

Sequential infiltration synthesis (SIS) into poly(styrene)-block-maltoheptaose (PS-b-MH) block copolymer using vapors of trimethyl aluminum and water was used to prepare nanostructured surface layers. Prior to the infiltration, the PS-b-MH had been self-assembled into 12 nm pattern periodicity. Scanning electron microscopy indicated that horizontal alumina-like cylinders of 4.9 nm diameter were formed after eight infiltration cycles, while vertical cylinders were 1.3 nm larger. Using homopolymer hydroxyl-terminated poly(styrene) (PS-OH) and MH films, specular neutron reflectometry revealed a preferential reaction of precursors in the MH compared to PS-OH. The infiltration depth into the maltoheptaose homopolymer film was found to be 2.0 nm after the first couple of cycles. It reached 2.5 nm after eight infiltration cycles, and the alumina incorporation within this infiltrated layer corresponded to 23 vol % Al2O3. The alumina-like material, resulting from PS-b-MH infiltration, was used as an etch mask to transfer the sub-10 nm pattern into the underlying silicon substrate, to an aspect ratio of approximately 2:1. These results demonstrate the potential of exploiting SIS into carbohydrate-based polymers for nanofabrication and high pattern density applications, such as transistor devices.

(Less)
Please use this url to cite or link to this publication:
author
; ; ; ; ; ; ; and
organization
publishing date
type
Contribution to journal
publication status
published
subject
keywords
block copolymer lithography, carbohydrate, maltoheptaose, neutron reflectometry, reactive ion etching, sequential infiltration synthesis, sub-10 nm pattern transfer
in
ACS Applied Nano Materials
volume
4
issue
5
pages
11 pages
publisher
The American Chemical Society (ACS)
external identifiers
  • scopus:85106494422
  • pmid:34308267
ISSN
2574-0970
DOI
10.1021/acsanm.1c00582
language
English
LU publication?
yes
id
0ee71869-db3a-49e8-bcd8-bbcec34c00bb
date added to LUP
2021-06-17 10:28:07
date last changed
2024-06-15 12:38:58
@article{0ee71869-db3a-49e8-bcd8-bbcec34c00bb,
  abstract     = {{<p>Sequential infiltration synthesis (SIS) into poly(styrene)-block-maltoheptaose (PS-b-MH) block copolymer using vapors of trimethyl aluminum and water was used to prepare nanostructured surface layers. Prior to the infiltration, the PS-b-MH had been self-assembled into 12 nm pattern periodicity. Scanning electron microscopy indicated that horizontal alumina-like cylinders of 4.9 nm diameter were formed after eight infiltration cycles, while vertical cylinders were 1.3 nm larger. Using homopolymer hydroxyl-terminated poly(styrene) (PS-OH) and MH films, specular neutron reflectometry revealed a preferential reaction of precursors in the MH compared to PS-OH. The infiltration depth into the maltoheptaose homopolymer film was found to be 2.0 nm after the first couple of cycles. It reached 2.5 nm after eight infiltration cycles, and the alumina incorporation within this infiltrated layer corresponded to 23 vol % Al2O3. The alumina-like material, resulting from PS-b-MH infiltration, was used as an etch mask to transfer the sub-10 nm pattern into the underlying silicon substrate, to an aspect ratio of approximately 2:1. These results demonstrate the potential of exploiting SIS into carbohydrate-based polymers for nanofabrication and high pattern density applications, such as transistor devices.</p>}},
  author       = {{Löfstrand, Anette and Jafari Jam, Reza and Mothander, Karolina and Nylander, Tommy and Mumtaz, Muhammad and Vorobiev, Alexei and Chen, Wen Chang and Borsali, Redouane and Maximov, Ivan}},
  issn         = {{2574-0970}},
  keywords     = {{block copolymer lithography; carbohydrate; maltoheptaose; neutron reflectometry; reactive ion etching; sequential infiltration synthesis; sub-10 nm pattern transfer}},
  language     = {{eng}},
  month        = {{05}},
  number       = {{5}},
  pages        = {{5141--5151}},
  publisher    = {{The American Chemical Society (ACS)}},
  series       = {{ACS Applied Nano Materials}},
  title        = {{Poly(styrene)- block-Maltoheptaose Films for Sub-10 nm Pattern Transfer : Implications for Transistor Fabrication}},
  url          = {{http://dx.doi.org/10.1021/acsanm.1c00582}},
  doi          = {{10.1021/acsanm.1c00582}},
  volume       = {{4}},
  year         = {{2021}},
}