Skip to main content

Lund University Publications

LUND UNIVERSITY LIBRARIES

Sequential Infiltration Synthesis into Maltoheptaose and Poly(styrene): Implications for Sub-10 nm Pattern Transfer

Löfstrand, Anette LU ; Vorobiev, Alexei ; Mumtaz, Muhammad ; Borsali, Redouane and Maximov, Ivan LU (2022) In Polymers 14(4). p.1-12
Abstract
Vapor phase infiltration into a self-assembled block copolymer (BCP) to create a hybrid material in one of the constituent blocks can enhance the etch selectivity for pattern transfer. Mul- tiple pulse infiltration into carbohydrate-based high-χ BCP has previously been shown to enable sub-10 nm feature pattern transfer. By optimizing the amount of infiltrated material, the etch selectiv- ity should be further improved. Here, an investigation of semi-static sequential infiltration synthesis of trimethyl aluminum (TMA) and water into maltoheptaose (MH) films, and into hydroxyl-terminated poly(styrene) (PS-OH) films, was performed, by varying the process parameters temperature, precur- sor pulse duration, and precursor exposure length. It was... (More)
Vapor phase infiltration into a self-assembled block copolymer (BCP) to create a hybrid material in one of the constituent blocks can enhance the etch selectivity for pattern transfer. Mul- tiple pulse infiltration into carbohydrate-based high-χ BCP has previously been shown to enable sub-10 nm feature pattern transfer. By optimizing the amount of infiltrated material, the etch selectiv- ity should be further improved. Here, an investigation of semi-static sequential infiltration synthesis of trimethyl aluminum (TMA) and water into maltoheptaose (MH) films, and into hydroxyl-terminated poly(styrene) (PS-OH) films, was performed, by varying the process parameters temperature, precur- sor pulse duration, and precursor exposure length. It was found that, by decreasing the exposure time from 100 to 20 s, the volumetric percentage on included pure Al2O3 in MH could be increased from 2 to 40 vol% at the expense of a decreased infiltration depth. Furthermore, the degree of infiltration was minimally affected by temperature between 64 and 100 ◦C. Shorter precursor pulse durations of 10 ms TMA and 5 ms water, as well as longer precursor pulses of 75 ms TMA and 45 ms water, were both shown to promote a higher degree, 40 vol%, of infiltrated alumina in MH. As proof of concept, 12 nm pitch pattern transfer into silicon was demonstrated using the method and can be concluded to be one of few studies showing pattern transfer at such small pitch. These results are expected to be of use for further understanding of the mechanisms involved in sequential infiltration synthesis of TMA/water into MH, and for further optimization of carbohydrate-based etch masks for sub-10 nm pattern transfer. Enabling techniques for high aspect ratio pattern transfer at the single nanometer scale could be of high interest, e.g., in the high-end transistor industry. (Less)
Please use this url to cite or link to this publication:
author
; ; ; and
organization
publishing date
type
Contribution to journal
publication status
published
subject
keywords
block copolymer, sequential infiltration synthesis, hybrid material, neutron reflectometry, pattern transfer
in
Polymers
volume
14
issue
4
article number
654
pages
12 pages
publisher
MDPI AG
external identifiers
  • scopus:85124570517
  • pmid:35215576
ISSN
2073-4360
DOI
10.3390/polym14040654
language
English
LU publication?
yes
id
9a9d98ca-13c0-4747-a67d-e46ea3da55af
date added to LUP
2022-02-21 11:59:27
date last changed
2023-11-21 03:01:28
@article{9a9d98ca-13c0-4747-a67d-e46ea3da55af,
  abstract     = {{Vapor phase infiltration into a self-assembled block copolymer (BCP) to create a hybrid material in one of the constituent blocks can enhance the etch selectivity for pattern transfer. Mul- tiple pulse infiltration into carbohydrate-based high-χ BCP has previously been shown to enable sub-10 nm feature pattern transfer. By optimizing the amount of infiltrated material, the etch selectiv- ity should be further improved. Here, an investigation of semi-static sequential infiltration synthesis of trimethyl aluminum (TMA) and water into maltoheptaose (MH) films, and into hydroxyl-terminated poly(styrene) (PS-OH) films, was performed, by varying the process parameters temperature, precur- sor pulse duration, and precursor exposure length. It was found that, by decreasing the exposure time from 100 to 20 s, the volumetric percentage on included pure Al2O3 in MH could be increased from 2 to 40 vol% at the expense of a decreased infiltration depth. Furthermore, the degree of infiltration was minimally affected by temperature between 64 and 100 ◦C. Shorter precursor pulse durations of 10 ms TMA and 5 ms water, as well as longer precursor pulses of 75 ms TMA and 45 ms water, were both shown to promote a higher degree, 40 vol%, of infiltrated alumina in MH. As proof of concept, 12 nm pitch pattern transfer into silicon was demonstrated using the method and can be concluded to be one of few studies showing pattern transfer at such small pitch. These results are expected to be of use for further understanding of the mechanisms involved in sequential infiltration synthesis of TMA/water into MH, and for further optimization of carbohydrate-based etch masks for sub-10 nm pattern transfer. Enabling techniques for high aspect ratio pattern transfer at the single nanometer scale could be of high interest, e.g., in the high-end transistor industry.}},
  author       = {{Löfstrand, Anette and Vorobiev, Alexei and Mumtaz, Muhammad and Borsali, Redouane and Maximov, Ivan}},
  issn         = {{2073-4360}},
  keywords     = {{block copolymer; sequential infiltration synthesis; hybrid material; neutron reflectometry; pattern transfer}},
  language     = {{eng}},
  month        = {{02}},
  number       = {{4}},
  pages        = {{1--12}},
  publisher    = {{MDPI AG}},
  series       = {{Polymers}},
  title        = {{Sequential Infiltration Synthesis into Maltoheptaose and Poly(styrene): Implications for Sub-10 nm Pattern Transfer}},
  url          = {{http://dx.doi.org/10.3390/polym14040654}},
  doi          = {{10.3390/polym14040654}},
  volume       = {{14}},
  year         = {{2022}},
}